Tech

ASML is the only company making the $200 million machines needed to print every advanced microchip. Here's an inside look

Inside ASML, the wildly successful company that every advanced chipmaker relies on
VIDEO18:3918:39
Inside ASML, the wildly successful company that every advanced chipmaker relies on

In this article

In the southern Dutch town of Veldhoven, near the border with Belgium, sits the only factory capable of assembling a revolutionary machine that's relied upon by the world's biggest chipmakers.

EUV lithography is the most expensive step in making the advanced microchips that power data centers, cars and iPhones. The machines are made by only one company: Advanced Semiconductor Materials Lithography.

"ASML has a monopoly on the fabrication of EUV lithography machines, the most advanced type of lithography equipment that's needed to make every single advanced processor chip that we use today," said Chris Miller, assistant professor at the Fletcher School at Tufts University. "The machines that they produce, each one of them is among the most complicated devices ever made."

EUV stands for extreme ultraviolet, an incredibly short wavelength of light that ASML generates in large quantities to print small, complex designs on microchips. The EUV light is created with tiny explosions of molten tin happening at extreme speeds and then bounced off unique Zeiss mirrors that ASML says are the flattest surface in the world. A small percentage of the EUV light particles reach the surface of a silicon wafer, where they print the minuscule designs that determine what each chip will do.

Demand for ASML's EUV technology has soared during a global chip shortage that's resulted in back orders for products ranging from PlayStation 5 consoles to Chevrolet Malibu sedans. The company's stock price has skyrocketed more than 340% since the end of 2018, making ASML more valuable than some of its top customers, such as Intel.

ASML CEO Peter Wennink said the company has been bringing down semiconductor prices since it was founded 38 years ago and will keep doing so "for the next couple of decades."

"The world needs more chips," Wennink told CNBC. "So we need to make more machines, which, by the way, will keep growing in average selling price as long as we can drive the cost per transistor down."

Still, Wennink says the global chip shortage is "a Catch-22" for ASML.

"We got a lot of messages from our suppliers that said, 'Hey, we might be late in delivering our modules to you guys because we cannot get the chips.' And we said, 'If we cannot get the chips, we cannot make the machines to make more chips.'"

Wennink said ASML is still managing "but it's a daily struggle."

$200 million machines

ASML has sold a total of about 140 EUV systems in the past decade, each one now costing up to $200 million, according to Wennink. The price tag for its next machine, called High NA, will be more than $300 million.

Its EUV machine is "so expensive that most companies cannot afford it," said Joanne Itow, managing director of manufacturing at Semico Research. "It certainly has eliminated a lot of players out of the market," including chip manufacturer GlobalFoundries, which decided a few years ago to stop working on more-advanced chips because of the high cost, she said.

ASML's EUV lithography system is required for printing all the world's most advanced semiconductors
ASML

Today, ASML sells the machines to only five chipmakers. The biggest three — Taiwan Semiconductor Manufacturing Co., Samsung and Intel — made up nearly 84% of its business in 2021. TSMC says that in 2019 it was the first to deliver high-volume chips made with EUV and that it has stayed in front ever since, with chip technology at least one node ahead of Samsung's and Intel's.

ASML's dominance is a relatively new phenomenon. A decade ago, the company's ability to research EUV was decided by major investments from Intel, Samsung and TSMC. 

"We didn't have the money," said Wennink, who joined ASML in 1999. "So we went out and we found partners, which actually was the basis of the way we built the company. So we were forced to be a system architect and a system integrator."

ASML started out as a subsidiary of Dutch electronics giant Philips in 1984. It launched its first machine for semiconductor lithography — which had been invented in a U.S. military lab in the 1950s — out of a leaky shed next to a Philips office building in Eindhoven, the Netherlands.

"The first lithography tool really looked like a projector," said Christophe Fouquet, ASML's executive vice president of EUV. "There is basically a reticle, which holds the image that you want to project. Then there is an optical system, which is going to take this image and project it on the wafer."

ASML's developed its first lithography system in 1984, in a leaky shed outside a Philips office building in Eindhoven, the Netherlands
ASML

By 1988, ASML had five U.S. offices with 84 employees and a new Dutch office in Veldhoven that eventually became its headquarters. CNBC got an exclusive tour of that facility in March.

"When the industry was getting ready to jump into the early stages of EUV research, none of the U.S. firms were ready to take the plunge on what would be an expensive and risky proposition, whereas ASML was," said Miller, author of the forthcoming book "Chip War: The Fight for the World's Most Critical Technology." "ASML is a Dutch company, but it's also a Dutch company that relies very heavily on U.S. components in particular for its machines."

China and a global supply chain

EUV machines are made up of several modules with hundreds of thousands of components, from nearly 800 global suppliers. Each module is built at one of ASML's 60 locations around the world and then shipped to Veldhoven for assembly. After each assembled machine is tested, it's disassembled for shipment to a chipmaker. The shipping requires 20 trucks and three fully loaded Boeing 747s. 

One country ASML won't ship its EUV technology to is China.

"Forty-two countries around the globe have agreed to put export control measures on it because it's so critical," Wennink said. "So it's not our choice, it's the choice of governments."

As far back as 2018, the Trump administration reportedly pressed ASML not to sell EUV technology to Chinese companies. 

"China has wanted to get into that race," Itow said, "but there's been politically generated reasons why China has not had access" to the technology.

ASML does deal with China in another capacity. The company refurbishes older lithography systems, called deep ultraviolet, or DUV, and sends many of those to the world's most-populated country. Wennink said 96% of all machines ASML has ever sold are still working.

"There's a lot of debate about whether selling additional DUV equipment to China is also a national security risk, by letting China increase its ability to manufacture close-to-cutting-edge semiconductors," Miller said. "I think there's some chance that in the coming years, there are new restrictions that are imposed on ASML's ability to sell DUV equipment to China as well."

Before EUV, chipmakers could buy DUV lithography machines from three companies: ASML, Nikon and Canon. While Nikon, in Japan, is still a competitor in that market, ASML is the only option for EUV. Experts say it could take decades for any other company to catch up, both because of ASML's proprietary technology and because it's built complex, often exclusive, deals with hundreds of suppliers.

"We're unique to some of our customers, and some of our supplies are unique to us," Wennink said. "And those almost symbiotic relationships, some people say, are worse than being married because you cannot divorce."

One way ASML has insulated itself against supply chain risks is by purchasing some of its suppliers, such as Cymer in San Diego, where the EUV light source is produced. ASML also bought Berliner Glas, in 2020. Though a fire broke out at the Berlin site in January, Wennink said the damage won't significantly impact system output this year.

ASML projects 20% sales growth in 2022 and annual revenue growth of 11% until the end of the decade.

Watch the video for a rare glimpse of the technology inside ASML's clean rooms in California and the Netherlands, to see how EUV machines use precision lasers, exploding molten tin and ultra-flat surfaces to make the building blocks of our digital world.